Retro Game Space
Vous souhaitez réagir à ce message ? Créez un compte en quelques clics ou connectez-vous pour continuer.


Forum retrogaming
 
AccueilRechercherDernières imagesS'enregistrerConnexion
Le deal à ne pas rater :
Manga Chainsaw Man : où acheter le Tome 17 édition Collector de ...
19.99 €
Voir le deal

 

 Une question ...

Aller en bas 
3 participants
AuteurMessage
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Une question ...   Une question  ... 348245pix2Dim 7 Oct 2012 - 15:13

Je suis tombé sur cette page.

[Vous devez être inscrit et connecté pour voir ce lien]

Je m'interroge sur l'utilité d'utiliser un 29F032 Tsop + adaptateur DIL (4Mo) à la place d'un 27C322 directement en DIL et qui fait aussi 4 Mo ?

Revenir en haut Aller en bas
KEN
Admin
KEN


Messages : 3396
Date d'inscription : 26/04/2011
Localisation : Paris

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 7:26

si tu peux passer en tsop, c'est la vie ^^ Car si tu peux t'en procurer facilement des tsop, tu aura plus de faciliter à faire des cartmod de grosse capacités, sans trop t'emmerder, et à toi les cartouches qui pesent plus de 32 mb (4 mo) Wink Bien qu'ils soient realisables traditionnellement mais c'est plus long et plus chiant
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 7:29

C'est gentil, mais ça ne répond pas à ma question Very Happy
Revenir en haut Aller en bas
KEN
Admin
KEN


Messages : 3396
Date d'inscription : 26/04/2011
Localisation : Paris

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 7:51

bah je ne comprend pas bien la question alors, tu t'interroge sur l'utilité du Tsop comparé à l'eprom de même taille... Bah le tsop est plus pratique puisque c'est moins chiant, gain de temps mais c'est un peu plus cher.
Revenir en haut Aller en bas
m0nsieurL
°°
°°
m0nsieurL


Messages : 205
Date d'inscription : 19/04/2012
Age : 42
Localisation : France

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 12:52

Moins de câblage, moins de risques de merdouillage, plus propre, cartouche plus légère, pour les 322 il faut mettre de la porte logique en plus et bien sur c'est plus facilement intégrable avec un TSOP.

Celà te va comme réponses?
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 16:12

Comme je ne comprenais pas bien ou je me trompais, j'ai regardé les datasheets. J'ai trouvé deux différences, la 29F032 est adressée jusqu'à A21 contre seulement A20 pour la 27C322 et surtout, la 29F032 tourne avec des données de 8 bit contre 16 bit pour la 27C322.

Donc là 29F032 est une 4Mbit x 8 et la 27C322 est une 2Mbit x 16.

Je suppose donc que la Snes tourne avec des eproms de 8 bit et que si l'on utilise une eprom de 16 bit, seulement la moitié sera utilisé d’où l'obligation d'en prendre 2 pour arriver à la même chose (sans parler que dans ce cas là, il faudra modifier la rom pour la faire rentrer seulement sur le poids faible de la donné et laisser le poids fort à zéro) et il faudra aussi un démultiplexeur pour adresse correctement les /OE en fonction de A21.

J'ai bon ?
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 16:25

Je suis tombé sur ça, sur un forum US. C'est plus complexe que ce que j'avais imaginé mais ça confirme mes suppositions.

[Vous devez être inscrit et connecté pour voir ce lien]

De ce que je comprend, la première moitié de la Rom est stocké sur D0-D7 et la deuxieme moitié sur D8-D15. Comme je le supposais aussi, cela oblige à modifier la rom pour la gravure. C'est pas ça que vous appelez le swapbin ?

Un truc dans ce gout là quoi.

[Vous devez être inscrit et connecté pour voir ce lien]
Revenir en haut Aller en bas
m0nsieurL
°°
°°
m0nsieurL


Messages : 205
Date d'inscription : 19/04/2012
Age : 42
Localisation : France

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 19:05

Le swapbin c'est juste un changement d'adressage sur les eproms 27c801, c'est que pour ce type d'eprom (enfin sur snes) ça permet d'avoir moins de redirection a faire 2 au lieu de 5.

Sinon les 27c322 tu peux les utiliser comme tel sur des jeux comme marioRPG, dragon ball z hd, kirby 3...
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 20:43

Elles sont utilisable telquel car la cartouche est prevu pour une eprom 16 bit, ou parceque le jeu est suffisament petit pour rentrer sur la moitié d'une eprom 16 bit de 4 Mo ?
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 20:58

D'apres mes recherches, les cartouches de ces jeux utilisent un décodeur supplémentaires (sa1) pour l'utilisation d'eproms 16 bits.
Revenir en haut Aller en bas
m0nsieurL
°°
°°
m0nsieurL


Messages : 205
Date d'inscription : 19/04/2012
Age : 42
Localisation : France

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Mar 9 Oct 2012 - 21:25

Oui c'est ça Smile
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Ven 12 Oct 2012 - 19:24

J'ai reçu mes tournevis Gamebit, j'ai donc ouvert 4 jeux master system que j'ai en double...et là, c'est le drame Shocked

Un seul à un mapper, il s'agit de After burner qui fait 512 Ko.

Les autres n'ont pas de mapper (il n'y a qu'une seule puce sur la pcb)

Shinobi - 256 ko
World grand prix - 128 ko
Sonic the hedgehog - 256 ko

D’après un tuto dispo sur le net, sans mapper ont ne peut avoir que des jeux de 32 Ko... ???

Et d'après le détails du port cartouche d'une master system, il y a 16 bits d'adresses soit 2^16 = 64Ko....????

Euh, là je nage, un petit coup de main ?
Revenir en haut Aller en bas
m0nsieurL
°°
°°
m0nsieurL


Messages : 205
Date d'inscription : 19/04/2012
Age : 42
Localisation : France

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Ven 12 Oct 2012 - 21:06

Aie, là pour le coup, je ne mod pas sur cette machine, mais Ichigo est une référence pour cette petite bête :]

Tu peux le contacter [Vous devez être inscrit et connecté pour voir ce lien] ou encore [Vous devez être inscrit et connecté pour voir ce lien].

Mais sans mapper ça m'a l'air mal barré :/
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Ven 12 Oct 2012 - 22:04

Âpres une heure de recherche sur le web, j'ai la réponse

Citation :


Memory mapper hardware notes
by Charles MacDonald
WWW: [Vous devez être inscrit et connecté pour voir ce lien]

Unpublished work Copyright 2006-2008 Charles MacDonald

Table of Contents

1.) MB831001
2.) MB832011
3.) 315-5208
4.) 315-5235
5.) Mask ROMs
6.) Credits and Acknowledgements
7.) Disclaimer

----------------------------------------------------------------------------
1.) MB831001
----------------------------------------------------------------------------

Overview

The MB831001 is a mask ROM manufactured by Fujitsu with an integrated mapper.
It comes in a 28-pin plastic DIP and has an access speed of 250ns.

Features

- ROM capacity of 128K
- ROM banking in $8000-$BFFF

Pin assignments
+----v----+
Z80 /WR |01 28| +5V
Z80 A12 |02 27| Z80 A14
Z80 A7 |03 26| Z80 A13
Z80 A6 |04 25| Z80 A8
Z80 A5 |05 24| Z80 A9
Z80 A4 |06 23| Z80 A11
Z80 A3 |07 22| /EXM2
Z80 A2 |08 21| Z80 A10
Z80 A1 |09 20| /SLOT-CE
Z80 A0 |10 19| Z80 D7
Z80 D0 |11 18| Z80 D6
Z80 D1 |12 17| Z80 D5
Z80 D2 |13 16| Z80 D4
GND |14 15| Z80 D3
+---------+

* /EXM2 is A15 & /MREQ, so it functions as A15.

Register functions

$FFFF - Bank select for $8000-$BFFF
D2 : Bank address, bit 16
D1 : Bank address, bit 15
D0 : Bank address, bit 14

There is an alternate version of this chip with no Fujitsu marking and
a different appearance (deeper pin 1 indicator notch, leads protrude
further from the body). It has a date code stamped in the middle, and
underneath that a string in the format of:

"SEGA W"

It functions identically to the MB831001.

----------------------------------------------------------------------------
2.) MB832011
----------------------------------------------------------------------------

Overview

The MB832011 is a mask ROM manufactured by Fujitsu with an integrated mapper.
It comes in a 32-pin plastic DIP and has an access speed of 250ns.

Features

- ROM capacity of 256K
- ROM banking in $4000-$7FFF
- ROM banking in $8000-$BFFF
- SRAM support in $8000-$BFFF
- SRAM support in $C000-$FFFF

Pin assignments
+---------+
GND |01 32| +5V
SRAM A14 |02 31| SRAM /CS
/WR |03 30| +5V
A12 |04 29| Z80 A14
A7 |05 28| Z80 A13
A6 |06 27| Z80 A8
A5 |07 26| Z80 A9
A4 |08 25| Z80 A11
A3 |09 24| /EXM2
A2 |10 23| Z80 A10
A1 |11 22| /SLOT-CE
A0 |12 21| Z80 D7
D0 |13 20| Z80 D6
D1 |14 19| Z80 D5
D2 |15 18| Z80 D4
GND |16 17| Z80 D3
+---------+

* /EXM2 is A15 & /MREQ, so it functions as A15.

Any combination of pins 1,16,30,32 can be used to supply voltage to the
chip. Pin 1 is always tied to ground and pin 30 is sometimes left
unconnected; it allows a 32-pin socket to accomodate the 28-pin MB831001
as well as the MB832011.

Typical SRAM configuration with this chip:

/WE = Z80 /WR
/OE = Z80 /RD
/CS = SRAM /CS
A14 = SRAM A14

Register functions

$FFFC - Control register
D4 : 1= SRAM mapped to $C000-$FFFF (*1)
D3 : 1= SRAM mapped to $8000-$BFFF, 0= ROM mapped to $8000-$BFFF
D2 : SRAM A14 state when $8000-$BFFF is accessed (1= high, 0= low)

$FFFE - Bank select for $4000-$7FFF
D3 : Bank address, bit 17
D2 : Bank address, bit 16
D1 : Bank address, bit 15
D0 : Bank address, bit 14

$FFFF - Bank select for $8000-$BFFF
D3 : Bank address, bit 17
D2 : Bank address, bit 16
D1 : Bank address, bit 15
D0 : Bank address, bit 14

There is an alternate version of this chip with no Fujitsu marking and
a different appearance (deeper pin 1 indicator notch, leads protrude
further from the body). It has a date code stamped in the middle, and
underneath that a string in the format of:

"SEGA W"

It functions identically to the MB832011.

----------------------------------------------------------------------------
3.) 315-5208
----------------------------------------------------------------------------

Overview

The 315-5208 is a memory mapper manufactured by Sega.
It comes in a 28-pin plastic DIP.

Features

- ROM capacity of 128K
- ROM banking in $8000-$BFFF

Pin assignments
+----v----+
Z80 A5 |01 i i 28| Z80 A6
Z80 A4 |02 i i 27| Z80 A7
Z80 A3 |03 i i 26| Z80 A12
Z80 A2 |04 i i 25| Z80 /WR
Z80 A1 |05 i s 24| GND
Z80 A0 |06 i i 23| Z80 A14
GND |07 s o 22| BA14
Z80 A11 |08 i s 21| +5V
Z80 A10 |09 i o 20| BA15
/EXM2 |10 i i 19| Z80 D0
/SLOT-CE |11 i i 18| Z80 D1
Z80 A13 |12 i i 17| Z80 D2
Z80 A8 |13 i o 16| BA16
Z80 A9 |14 i o 15| /ROM_CS
+---------+

Typical ROM configuration with this chip:

ROM /OE = Ground
ROM /CS = /ROM_CS

Register functions

$FFFF - Bank select for $8000-$BFFF
D2 : Bank address, bit 16
D1 : Bank address, bit 15
D0 : Bank address, bit 14

----------------------------------------------------------------------------
4.) 315-5235
----------------------------------------------------------------------------

Overview

The 315-5235 is a memory mapper manufactured by Sega.
It comes in a 42-pin plastic DIP.

Features

- ROM capacity of 512K
- ROM banking in $0400-$3FFF
- ROM banking in $4000-$7FFF
- ROM banking in $8000-$BFFF
- SRAM support in $8000-$BFFF
- SRAM support in $C000-$FFFF
- ROM bank shifting

Pin assignments
+----v----+
Z80 A7 |01 i i 42| Z80 A8
Z80 A6 |02 i i 41| Z80 A9
Z80 A5 |03 i i 40| Z80 A10
Z80 A4 |04 i i 39| Z80 A11
Z80 A3 |05 i i 38| Z80 A12
Z80 A2 |06 i i 37| Z80 A13
Z80 A1 |07 i i 36| Z80 A14
Z80 A0 |08 i i 35| Z80 A15
BA14 |09 o i 34| Z80 /RD
BA15 |10 o i 33| /SLOT-CE
GND |11 s s 32| +5V
BA16 |12 o i 31| Z80 /WR
BA17 |13 o o 30| SRAM /CS
BA18 |14 o o 29| /GWR
/ROM0 |15 o ? 28| ? (*1)
/ROM1 |16 o ? 27| GND (*2)
/ROM2 |17 o i 26| /RESET
Z80 D0 |18 i i 25| MODE
Z80 D1 |19 i o 24| /ERAM
Z80 D2 |20 i i 23| Z80 D7
Z80 D3 |21 i i 22| Z80 D4
+---------+

1.) Always high. Maybe an input, normally left unconnected.
2.) Likely another ground input, doesn't seem to function as a mode select.

Pin functions

/ROM0 = Asserted for reads from ROM banks:
$00-$07 (1M mode)
$00-$1F (4M mode)

/ROM1 = Asserted for reads from ROM banks:
$08-$0F (1M mode)
$00-$0F (4M mode)

/ROM2 = Asserted for reads from ROM banks:
$10-$1F (1M mode)
$10-$1F (4M mode)

/ERAM = Asserted for work RAM access when bit 4 of $FFFC is reset.
SRAM /CS = Asserted for SRAM access when mapped to $8000-$BFFF or $C000-$FFFF
/GWR = Follows Z80 /WR, disabled when bit 7 of $FFFC is set.
BA18-14 = Banked ROM address bits 18-14
MODE = ROM size mode, tie to +5V for 128K (1M) or ground for 512K (4M).

The /ROMx signals are valid for accesses within $0000-$BFFF, or $0000-$7FFF
when SRAM is enabled in $8000-$BFFF.

SRAM access

The bank number specified in $FFFF is still valid when SRAM is enabled
within $8000-$BFFF, it will not be reset or otherwise modified. During
access to $8000-$BFFF with SRAM enabled, BA18-BA15 output the bank
number bits just like a regular ROM access. The exception is BA14 which
is set to the value specified in $FFFC bit 2 to support SRAM banking.

SRAM cannot be banked within $C000-$FFFF, BA14 is forced high. If 32K of
SRAM was available, this would allow for a linear mapping of it from
$8000-$FFFF if $FFFC had bits 4,3 set.

During SRAM access the /ROMx strobes are not active, so the state of
BA18-BA15 at that time is irrelevant.

Mappper overview

Depending on the ROM size mode selected, the following configurations
can be used:

128K (1M) 512K (4M)

/ROM0 128K or smaller 512K or smaller
/ROM1 128K or smaller 256K or smaller
/ROM2 256K or smaller 256K or smaller

The total capacity for either mode is 512K, split across different ROM
sizes. The /ROMx signals are output enable strobes, so ROMs should have
their /CS inputs tied to ground and /OE to /ROMx.

/ERAM seems to be specific for the Japanese SMS or Mark-III hardware,
which may (like the SC-3000H) have a dedicated work RAM disable pin
on the cartridge connector. /ERAM would facilitate disabling it
automatically when cartridge SRAM was mapped to $C000-$FFFF.

For a export (US/European) console, work RAM has to be manually disabled
through bit 4 of I/O port $3E.

/GWR also isn't used anywhere, though the official system documentation
mentions it needs to be cleared for commercial (ROM-based) software and
set for development. Presumably the development board hardware uses RAMs
connected to /GWR for uploading program code.

When /RESET is asserted, the registers are initialized as follows:

$FFFC = $00
$FFFD = $00
$FFFE = $01
$FFFF = $02

Signal timing

/ROM2-0 = Same as Z80 /RD pulse width
/ERAM = Same as Z80 /WR or Z80 /RD pulse width
/GWR = Same as Z80 /WR pulse width
SRAM /CS = Same as /MREQ pulse width
BA18-BA14 = Output change is triggered on Z80 A15-14 edge transition

Register functions

$FFFC - Control register
D7 : 1= /GWR disabled (write protect), 0= /GWR enabled (write enable)
D4 : 1= SRAM mapped to $C000-$FFFF (*1)
D3 : 1= SRAM mapped to $8000-$BFFF, 0= ROM mapped to $8000-$BFFF
D2 : SRAM banking; BA14 state when $8000-$BFFF is accessed (1= high, 0= low)
D1 : Bank shift, bit 1
D0 : Bank shift, bit 0

The bank shift adds a constant to the bank number, wrapping at $1F to
form a new bank number.

D1 D0
0 0 : Add $00 (banks $00-$1F map to $00-$07,$08-$0F,$10-$17,$18-$1F)
0 1 : Add $18 (banks $00-$1F map to $18-$1F,$00-$07,$08-$0F,$10-$17)
1 0 : Add $10 (banks $00-$1F map to $10-$17,$18-$1F,$00-$07,$08-$0F)
1 1 : Add $08 (banks $00-$1F map to $08-$0F,$10-$17,$18-$1F,$00-$07)

When the bank shift is set, none of the existing bank values in $FFFD-$FFFF
are updated - the old values written are still latched. As soon as *any*
bank select register is written to, then *all* registers are shifted.

So generally speaking you cannot shift banks individually (it's all or none)
and at least one register must be rewritten for the new bank shift value
to take effect.

The first 1K of ROM is always mapped to $0000-$03FF regardless of the
bank number in $FFFD or the bank shift value.

$FFFD - Bank select for $0400-$3FFF
D7 : Unused
D4 : Bank address, bit 18
D3 : Bank address, bit 17
D2 : Bank address, bit 16
D1 : Bank address, bit 15
D0 : Bank address, bit 14

$FFFE - Bank select for $4000-$7FFF
D7 : Unused
D4 : Bank address, bit 18
D3 : Bank address, bit 17
D2 : Bank address, bit 16
D1 : Bank address, bit 15
D0 : Bank address, bit 14

$FFFF - Bank select for $8000-$BFFF
D7 : Unused
D4 : Bank address, bit 18
D3 : Bank address, bit 17
D2 : Bank address, bit 16
D1 : Bank address, bit 15
D0 : Bank address, bit 14

----------------------------------------------------------------------------
6.) Mask ROMs
----------------------------------------------------------------------------

Overview

The MPR-11190-S is a mask ROM found on the "Penguin Land" cartridge, used
in conjunction with the 315-5235.

It comes in 28-pin plastic DIP.

+----v----+
A15 |01 28| +5V
A12 |02 27| A14
A7 |03 26| A13
A6 |04 25| A8
A5 |05 24| A9
A4 |06 23| A11
A3 |07 22| A16
A2 |08 21| A10
A1 |09 20| /CS
A0 |10 19| D7
D0 |11 18| D6
D1 |12 17| D5
D2 |13 16| D4
GND |14 15| D3
+---------+

Identical to 27C512 except /OE is replaced with A16.

Typically /CS = /ROM0 for use with the 315-5235 in 1M mode.

There is no /OE, but /ROM0 is enabled during reads from ROM banks only.
This prevents any bus conflicts from occurring.

----------------------------------------------------------------------------
6.) Credits and Acknowledgements
----------------------------------------------------------------------------

* shmike for his investigation of the 315-5235 mode switch function.

* S8-Dev Team for the "Sega 315-5208, 315-5235, and 315-5365 Master System
Memory Paging Chips" document.

* Maxim for the connector pinouts and mapper chip information on
the S8-Dev wiki.

----------------------------------------------------------------------------
7.) Disclaimer
----------------------------------------------------------------------------

If you use any information from this document, please credit me
(Charles MacDonald) and optionally provide a link to my webpage
(http://cgfm2.emuviews.com/) so interested parties can access it.

The credit text should be present in the accompanying documentation of
whatever project which used the information, or even in the program
itself (e.g. an about box)

Regarding distribution, you cannot put this document on another
website, nor link directly to it.

Unpublished work Copyright 2006 Charles MacDonald


Donc, il existe des maskrom avec mapper intégré, la question qui tue, peut on quand même cartmodder ce genre de cartouche en 128 ou 256ko avec une ou deux portes logique ?
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Ven 12 Oct 2012 - 22:13

De ce que je comprend, il y a un registre à l'adresse FFFF qui permet de piloter A14,A15 et A16 sur D2,D1 et D0. Même si, A14 et A15 sont physiquement présent sur la puce.

Ok avec moi ?

Edit: dans ce cas, je suppose que /WR permet de valider l’écriture dans le registre.
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Ven 12 Oct 2012 - 22:54

Techniquement, le plus gros du boulot est effectué par la console, nous on doit juste faire ça:

Si /WR = 0 et A0-A15 = 1 alors A16 = D2

faut il piloter A14 et A15 qui sont déjà reliés au port cartouche ?

Je suppose que la rom de ce genre de cartouche est prévu pour piloter la maskrom, donc faut il une rom spécial ?

J'ai aussi trouvé ceci du même auteur.

Citation :

The MB831001 is notably lacking an output enable pin. I ran some tests on the device and figured out the following:

/SLOT-CE=0, /EXM2=0, A14=0, /WR=1 : Drive bus with $0000-$3FFF data
/SLOT-CE=0, /EXM2=0, A14=1, /WR=1 : Drive bus with $4000-$7FFF data
/SLOT-CE=0, /EXM2=1, A14=0, /WR=1 : Drive bus with $8000-$BFFF data
/SLOT-CE=0, /EXM2=1, A14=1, /WR=0 : Accept writes to mapper register.

For any other setting the data bus is tristated. Think of the /WR pin really being R//W as in high to read and low to write. And /EXM2 is really A15.

Cela confirme que A15 est bien /EXM2.
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Sam 13 Oct 2012 - 6:35

Je répond déjà à une de mes questions.

Le mapper externe (128 ko) fonctionne de la même façon que le mapper interne (128 ko) donc les roms sont les mêmes pour les deux cartouches.
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Sam 13 Oct 2012 - 7:48

J'ai peut être trouvé la solution. Comme il n'y a qu'un seul registre sur le mapper. Toute demande d’écriture est forcement à l'adresse FFFF. Donc il suffit de trouver un composant qui recopie la sortie D2 sur l'entrée A16 de l'EPROM quand /RW tombe à zéro. Une idée sur un composant qui fasse ça ou il faut le faire avec des portes logiques ?

Edit: que pensez vous d'une bascule JK ?

Edit 2 : arff ! je suis con, une Bascule D actif au front descendant sera parfaite !
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Sam 13 Oct 2012 - 8:18

Donc j'ai deux solutions :

1°) une bascule D sur D2 qui recopie vers A16

ou

2°) une bascule D à 6 entrées parallèle (type 74HCT174) qui recopie D2, D1 et D0 sur A16 en direct et A15,A14 par l’intermédiaire d'un OU (pour câbler de l'autre coté les vrais A15 et A14)

La 2eme solution demande plus de temps mais prendra en compte un changement d'adressage de A15 et A14 par le registre (même si je ne vois pas pourquoi les ingénieurs de Sega s’amuseraient à changer d'adresse par le registre plutôt que de le faire en direct)

Bon, ben va falloir faire des essais Very Happy
Revenir en haut Aller en bas
Aganyte
°
°
Aganyte


Messages : 49
Date d'inscription : 05/10/2012

Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2Sam 13 Oct 2012 - 10:09

J'ai eu une réponse de Ichigo.

Il m'a renvoyé sur le forum Us, parait qui sont fort la bas... le probleme c'est que moi, la langue de shakespeare Sad
Revenir en haut Aller en bas
Contenu sponsorisé





Une question  ... Empty
MessageSujet: Re: Une question ...   Une question  ... 348245pix2

Revenir en haut Aller en bas
 
Une question ...
Revenir en haut 
Page 1 sur 1
 Sujets similaires
-
» question pour megaman et bass
» question sur la jaquette des jeux nes
» Super Nintendo ou NES? That is ze question
» question sur le programmateur gq_4x
» petite question de newbie...

Permission de ce forum:Vous ne pouvez pas répondre aux sujets dans ce forum
Retro Game Space :: LA COMMUNAUTÉ RGS :: >>> LES TUTOS & NOS MODDERS  :: >>> NOS MODDERS  :: Les Cartmodders -
Sauter vers: